25.6 C
New York
Thursday, July 4, 2024

Siemens Offers a Full 3D IC Resolution with Innovator3D IC


Siemens Provides a Complete 3D IC Solution with Innovator3D IC

Heterogeneous multi-die integration is gaining extra momentum on a regular basis. The restricted roadmap provided by Moore’s Legislation monolithic, single-die integration has opened the door to a brand new period of more-than-Moore heterogeneous integration. The prospects provided by this new design paradigm are thrilling and all the ecosystem is leaping in to convey all of it nearer to actuality. Requirements to assist make chiplets extra extensively accessible, new supplies to extend density and a bunch of design applied sciences to make all of it work are underway. Whereas all this guarantees to ship an built-in design functionality, the elephant within the room is the place to begin.  Top quality and well-integrated up-front planning on the system stage is a necessity to make sure success for the subsequent wave of designs. Siemens Digital Industries Software program not too long ago introduced a complete new method to 3D IC design. They appear have gotten it proper relating to the best way to scope the issue for fulfillment. Let’s look at how Siemens offers an entire 3D IC answer with Innovator3D IC.

What Downside Wants Fixing?

Keith Felton
Keith Felton

I not too long ago had the chance to talk with Keith Felton, product advertising and marketing supervisor at Siemens for Excessive-Density Superior Packaging (HDAP) options. Keith has a protracted historical past of fixing superior design and packaging challenges.

Keith defined that there’s certainly a variety of work occurring to handle the challenges of duties corresponding to place and route for multi-die heterogeneous designs. All of that’s fairly essential, however Keith identified that early feasibility planning and evaluation of the system, earlier than implementation begins is a crucial step that must be addressed first. The questions that have to be answered earlier than implementation begins embrace:

  • What are the system thermal concerns?
  • Can I get the suitable stage of energy to all components of the system?
  • How will the substrate and the general package deal behave beneath typical and excessive operation?

That is only a abstract of a for much longer checklist of questions that have to be addressed early within the design circulate and on the system stage. That is actually the one method to keep away from downstream re-work that may have substantial destructive impression. Keith defined that a part of the innovation right here is to construct a digital twin mannequin of the system early. Utilizing this mannequin a design cockpit might be created that permits ahead visibility to all downstream instruments to permit tradeoffs to be assessed and roadblocks prevented earlier than detailed implementation begins.

This made good sense to me. Let’s have a look at among the particulars of the announcement.

How Siemens Offers a Full 3D IC Resolution with Innovator3D IC

Innovator3D IC delivers the quickest and most predictable path for planning and heterogeneous integration of ASICs and chiplets utilizing the most recent semiconductor packaging 2.5D and 3D know-how platforms and substrates. The know-how offers a unified cockpit for design planning, prototyping and predictive multi-physics evaluation. This cockpit constructs an influence, efficiency, space (PPA) and value optimized digital twin of the entire semiconductor package deal meeting that in flip drives implementation, multi-physics evaluation, mechanical design, check, signoff, and launch to fabrication and manufacturing via a managed and safe design IP digital thread conduit.

Innovator3D IC is architected across the system know-how co-optimization (STCO) methodology course of developed by IMEC. STCO is utilized all through prototyping and planning, design, sign-off, and manufacturing hand-off, concluding with complete verification and reliability evaluation.

The determine beneath summarizes the broad set of capabilities delivered by Innovator3D IC.

Innovator3D IC Heterogeneuous Integration Cockpit
Innovator3D IC Heterogeneuous Integration Cockpit

Though the cockpit is straight built-in with the intensive Siemens Xcelerator know-how portfolio, it helps the combination of third-party level options, recognizing that clients could have third occasion instruments of their present design flows that they want to proceed utilizing. The co-optimization employed by Innovator3D IC additionally makes intensive use of AI know-how for co-optimization as proven within the determine beneath.

Innovator3D IC AI Infused Co Optimization
Innovator3D IC AI Infused Co Optimization

Trade requirements help can be an essential a part of the general answer. A key space is the dedication and help for the rising 3Dblox™ customary that permits EDA instrument interoperability, bringing the advantages of improved productiveness and effectivity to finish customers and clients in 3D IC system stage designs.

It is usually essential to make sure frictionless adoption and consumption of current and new die-to-die interface IP, corresponding to UCIe and BoW. The Open Compute Mission Chiplet Design Trade Working Group (OCP CDX) has enabled direct consumption of standardized chiplet fashions that might be supplied by the rising industrial chiplet ecosystem.

Predictive multiphysics evaluation can be an essential a part of the answer. Throughout prototyping and planning it’s crucial to judge the efficiency of all design situations earlier than committing to implementation. Innovator3D IC integrates straight with energy, sign, thermal, and mechanical stress analyses so {that a} design situation might be evaluated shortly, and any points explored and resolved previous to detailed design implementation. This shift-left method prevents expensive and time-consuming downstream rework and sub-optimal outcomes.

To Study Extra

In line with the announcement, Innovator3D IC is predicted to be accessible later in 2024. You’ll be able to be taught extra about Siemens’ Innovator3D IC software program right here.  You’ll discover a variety of helpful data there, together with a really informative brochure. You’ll be able to learn the full press launch right here.  And that’s how Siemens offers an entire 3D IC answer with Innovator3D IC.

 

 

 

Share this publish by way of:

Related Articles

LEAVE A REPLY

Please enter your comment!
Please enter your name here

Latest Articles